AI 赋能 IC
以智能算力与工程智能,赋能芯片设计的每一个关键环节
启芯宸光以AI与异构智算为底座,深度融入EDA与IP设计流程,
持续赋能芯片设计效率、质量和规模化能力,
帮助高校与企业以更高效率、更低门槛完成芯片设计。
为什么需要AI赋能IC?
过去二十年,芯片设计依赖的是
而现实是
设计复杂度增长速度,远超人力与经验的增长
工程师大量时间消耗在重复性、流程性工作中
教学与产业之间的能力断层越来越大
芯片设计正在经历一场从 “人工经验” 到 “智能协同” 的深刻变革
在这一变革中,启芯宸光通过 AI 技术对 EDA、IP 与设计流程进行系统级重构,使得:
AI的价值不在于自动完成一切,去取代工程师,而是在于让工程师在正确的地方,投入更多精力
EDA+AI(DeepChip)
对工程效率的持续赋能
降低芯片设计用户获取AI门槛,让大模型更快产生业务价值,在芯片设计复杂度激增的今天,首次流片成功率已从两年前的24%骤降至14%。每一次流片失败都意味着数百万美元的损失和错失市场窗口的风险。面对这一行业危机,DeepChip以独特的解决方案脱颖而出。
核心能力
- 1. 智能代码生成 自动生成 Verilog、VHDL、SystemVerilog 等设计代码,减少繁琐重复劳动
- 2. 自动补充测试用例 基于设计逻辑自动生成 Testbench 和测试向量,提升验证覆盖率
- 3. 设计缺陷快速定位 AI 辅助分析仿真日志、错误栈和波形,帮助工程师迅速找到问题根源
- 4.文档自动生成 支持自动产出模块说明、时序分析、接口描述、设计报告,减少写文档负担
- 5.EDA工具自动化脚本生成 自动生成编译、仿真、综合和验证脚步,使复杂流程更可控
IP+AI(DeepIP)
让IP集成与适配更高效、更可靠
DeepIP是启芯宸光面向芯片设计核心环节打造的智能化能力模块。 通过 AI 对 IP 规格、接口、参数与设计约束的深度理解,系统能够显著降低 IP 使用门槛,缩短集成与适配周期,让工程团队在更短时间内完成高质量设计。
核心能力
- 1.IP规格与语义理解能力 系统能够对 IP 文档等关键信息进行结构化解析
- 2.参数化配置与设计引导 基于设计目标、应用场景与系统约束,AI能辅助完成IP参数选择与配置建议
- 3.IP集成与系统级协同 对于复杂系统,能够提供IP集成与系统级协同
- 4.设计一致性与可维护性提升 提供延时、时序、面积、功耗相关的改进建议,提高设计质量
- 5.面向教学与企业的双场景适配 帮助学生跨越IP使用的认知门槛,帮助团队缩短IP集成周期
适用于高校与企业的双场景能力
让教学更轻松,让研发更高效
面向高校
让 Chip Learning 更友好
在高校场景中,AI智算的核心价值在于:
- 降低芯片课程教学门槛
- 缓解教师教学与批改压力
- 学生快速入门,跨越起步阶段的鸿沟
- 将复杂工程转化为可理解、可实践的过程
AI智算赋能高校,创造可持续的人才培养能力
面向企业
让 Chip Development 更高效
在企业场景中,AI智算的核心价值在于:
- 提升仿真与验证效率
- 缩短项目迭代周期
- 减少对个体经验的强依赖
- 构建可复制、可拓展的工程体系
AI智算赋能企业,创造持续演进的研发能力
核心技术展示
在技术深度与行业应用间,我们选择两者兼得
自研大模型
面向芯片设计与 EDA 场景深度优化的大模型体系
自动化EDA流程引擎
将复杂、分散的 EDA 操作与工程流程进行系统化编排与自动执行
多模态设计理解能力
同时理解代码、波形、日志、文档与设计上下文
丰富的行业语料和知识库
基于大量真实芯片设计、教学与研发场景沉淀的行业语料与知识体系
异构计算支持
原生支持 CPU、GPU、FPGA 等异构算力架构,将 AI 能力与高性能计算深度结合
工程级的可控能力和安全性
AI 的每一次辅助与输出都可追溯、可理解、可干预,确保AI始终处于可控范围内
案例展示
Demo——IP 参数自动配置示例 — 自动生成实验步骤
input [31:0] a, b,
input [3:0] op,
output reg [31:0] result
);
always @(*) begin
case(op)
4'b0000: result = a + b;
... // AI生成的代码片段
endcase
end
endmodule